锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

基于PTPX的功耗分析

时间:2022-09-01 21:30:00 0402电容功耗

一、介绍

PTPX,基于PT全芯片静态动态功耗分析工具。包括门级平均功耗和峰值功耗。

二、PTPX目的

1、RTL/Pregsim/Postgsim功耗分析可用于门级功耗分析

2.设计的功耗问题可以通过功耗统计数据发现。报告包括hierarchy所有功耗信息,找到功耗关键节点。

3.平均功耗分析,找到当前设计的关键节点

4、timebased功耗分析,找出瞬态功耗与模拟激励的关系,确定峰值功耗是否符合要求

三、average power analysis 与 time-based power analysis区别

average : 得到所有hierarchy的功耗报告

基于toggle rate通过分析,转换率的标准可以是默认和用户定义switch activity、SAIF/VCD/FSDB文件

功耗分析结果希望在后端布局布线准确后,在早期阶段进行评估

time-based:功耗数据与模拟激励的关系是一个波形,显示每时每刻对应的瞬时功耗,并给出额外的信息peak-power

note: toggle rate和switch activity区别:

toggle rate是信号的翻转

switch activity是管道的开关变化。信号变化不一定会导致管道开关。

四、支持方式

  • vector-based/vector-free(基于vcd/不用vcd。)
  • peak-power和average-power
  • RTL/gate-level
  • VCD/FSDB/SAIF
  • multivoltage和powerDomain

五、功耗模型

leakage power : 与标准单元库的cell.db提供的数据是相关的

dynamic power:

internal power 与激励有关的提供的数据也与激励有关

switch power 根据电压、网表电容和线开关计算,也与激励有关

note:

lib提供标准单元库power、timing、area信息

标准单元库power model包括:

NLPM(nonlinear power model)

CCS(composite current source)推荐,更准确

VCD文件是模拟波形文件,记录待分析模块的所有端口和内部线网的跳变信息。

六、PTPX power analysis flow

1、使能power分析

2、执行vector分析

评估VCD文件的activity活跃度,吃VCD或fsdb文件(在power没有必要在分析前检查模拟活动。

3、吃design

  • 支持verilog/vhdl网表(db、ddc、milkyway网表也可
  • logic库,必须是.db格式
  • 寄生参数信息,在milkyway格式文件中
  • 计算平均功耗,吃sdc文件

4、设置变量

设置过程和PVT信息

设置功耗相关lookup查找表

建议设置深亚微米和低压工艺true,计算寄生电容器

5、timing分析

6、check error

7.选择功耗分析模式

8、multivoltage功耗分析和时钟网络分析

前端不关心,因为关注逻辑功能部分功耗,后端关心,因为布局布线后的功耗

9、report

10、GUI

来自

锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章