锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

简单的JK触发器的VHDL程序

时间:2024-05-17 15:37:10

简单的JK触发器
LIBRARY E;
USE IEEE.D_LOGIC_1164.ALL;
EITY JKff_1 IS
PORT (J,K:IN STD_LOGIC;
clk : IN std_logic;
Q: out STD_LOGIC);
end JKff_1;
ARCHICTURE behave OF JKff_1 IS
signal S:STD_LOGIC;
BEGIN
S<= (J and (not S)) or (S and (not K)) when clk'event and clk='0' ;
Q<=S ;
end behave;


-电子元器件采购网(www.ruidan.com)是本土元器件目录分销商,采用“小批量、现货、样品”销售模式,致力于满足客户多型号、高质量、快速交付的采购需求。 自建高效智能仓储,拥有自营库存超过50,000种,提供一站式正品现货采购、个性化解决方案、选型替代等多元化服务。
锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章