锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

IC设计前后端常用的英文术语

时间:2023-07-28 06:07:13 rf7170集成电路ic

英文缩写 英文全称
ADC-Analog to Digital Convert>>>从模拟信号到数字信号的转换电路
AHB-Advanced High Performance Bus>>>ARM公司推出的AMBA主要用于高性能模块(如CPU、DMA和DSP等)之间的连接
APR -Auto place and route>>>自动布线是实现数字后端布局的主要过程
ARM-Acorn RISC Machine>>>英国ARM常用于手机或移动芯片的公司CPU低功耗设计基本采用处理器ARM CPU
ASIC-Application Special Integrated Circuit>>>芯片设计公司的主流设计流程
ATPG-Auto Test Pattern Generator>>>测试向量自动生成工具,DFT常见的过程
AXI-Advanced eXtensible Interface>>>ARM公司推出的AMBA总线规范之一
BE-Back End>>>后端,指IC后端设计后端设计流程
BIST-Build in System Test>>>内建测试系统,DFT常见的过程
CAD-Computer Aided Design>>>计算机辅助设计也是IC设计公司的一个部门专门帮助提供软件自动化
CDC-clock domain crossing>>>异步时钟时序检查是数字设计的重要步骤
COVERAGE>>>常用术语的覆盖率、数字验证主要包括代码覆盖率和功能覆盖率
CPLD-Complex Programmable Logic Device>>>复杂的可编程设备,和FPGA类似
CTS-Clock tree synthesis>>>时钟树综合是数字后端实现的重要过程
DAC-Digital to Analog Convert>>>从数字信号到模拟信号的转换电路
DC-design compiler>>>synopsys公司的数字综合工具
DFT-Design for Test>>>为了提高芯片的可测性,采用了数字设计方法IC流程中的重要步骤
DMA-Direct Memory Access>>>内存直接存取
DRAM-Dynamic Random Access Memory>>>最常见的系统内存是动态随机访问存储器
DRC-Design Rule Check>>>检查是否符合工艺厂提供的设计规则,如宽度、间距、面积等。
DSP-Digital Signal Processing>>>数字信号处理模块,IC经常使用设计公司的算法实现
DUT-design under test>>>待测试的设计模块
DUV-design under verification>>>和DUT的意思类似
ECO-Engineering Change Order>>>在项目后期,芯片设计只能在门级进行修改
EDA-Electronic Design Automation>>>自动化电子设计,IC在设计过程中需要使用很多EDA工具
EEPROM-Electrically Erasable Programmable Read Only Memory>>>只读存储器可以用电擦除
ERC-Electronic Rule Check>>>IC设计经过Layout检查其版图是否符合电气规则
FE-Front End>>>前端,数字IC设计中的前端设计流程
FLASH-Flash EEPROM Memory>>>闪存,同时拥有RAM快速读取数据的特点和特点EEPROM可擦除和非易失性。
FM-formal>>>形式验证,网表和verilog进行比较
Foundry>>> 指芯片制造加工厂的OEM业务,负责生产设计的芯片
FPGA-Field Programmable Gate Array>>>现场可编程门阵列ASIC流程相对应
FSDB>>>数字IC波形文件格式常用于设计
FSM-Finite state machine>>> 数字逻辑设计中的有限自动状态机
FULLCHIP-fullchip level>>>常用于数字前端设计和验证,是指系统级和芯片级
GDSII>>>版图layout的文件格式
GLS-gate level simulation>>> 指数字验证中的门级仿真
GPIO-General Purpose Input Output>>>总线扩展器通用输入/输出
HDMI-High Definition Multimedia Interface>>>高清多媒体接口是数字视频/音频接口技术的标准
I2C-Inter-Integrated Circuit>>>IIC它是一种常用的多向控制总线,简单,只有两条线
IC-Integrated Circuit>>> 集成电路
ICC-IC Compiler>>>synopsys公司使用自动布线软件,许多公司使用
IEEE-Institute of Electrical and Electronics Engineers>>>电气与电子工程师协会协会
INNOVUS>>>cadence实现公司数字版图工具
IP-Intellectual Property>>>知识产权,数字IC最小的设计模块通常在设计中成为IP
JTAG-Joint Test Action Group>>>联合测试工作组是一种国际标准测试协议,主要用于芯片测试
Layout->>>版图是指芯片最终生成的版图,类似于建筑行业的设计图纸
LPS-low power simulation>>>低功耗仿真主要用于低功耗设计验证
LSI-Large-scale intergrated circuit>>>大规模集成电路
LUT-Look Up Table>>>搜索表,用来存储一些数据,本质上是一个RAM
LVS-Layout versus Schematic>>>检查地图与电路图的一致性,检查地图与门级电路是否一致
MCU-Microcontroller unit>>>微控制器,主控模块
MIPI -Mobile Industry Processor Interface>>>移动工业处理器接口是为移动应用处理器制定的开放标准和规范
Modelsim >>>mentor公司的数字前端模拟工具,又称QUESTASIM
MPW-Multiple Project Wafer>>>多项目晶圆投影是指将同一工艺的不同芯片放置在同一晶圆中(Wafer)上流片是小公司节约成本的有效手段
MSB-Most Significant Bit>>>一个多bit相应的概念是数据的最高有效性LSB
NCSIM>>>cadence公司数字前端仿真工具
NDR-Non-Default Route>>>非默认连接规则是地图实现中的一个重要概念
Netlist>>>一般是门级网表RTL Code经过综合工具生成的网表文件
NFC-Near Field Communication >>>近距离无线通信技术
OCP-Open Core Protocol>>>高效、独立、可配置、高度可扩展的接口协议
PAD>>>指芯片的input/output 端口
PBA-Path-based analyze>>>基于路径的时序分析
PCIe-Peripheral Component Interconnect Express>>>外设组件互连标准是常见的总线标准
PD-Physical design>>>物理设计,一般指数字后端的地图设计
PERL>>>数字IC脚本语言的设计非常适合文本处理
PLL-Phase Locked Loop>>>相环一般用于时钟性倍频电路的生产clock
PT-prime time>>>synosys公司的静态时序分析工具
PV-Physical verification>>> 物理验证,数字版图实现后需要做的验证
Python-常用的脚本语言,现在在人工智能方面使用很多,大受欢迎
R&D-research and design>>>研发中心
RAM-Random Access Memory>>>随机存储器
REGRESSION>>> 回归测试,简单来说就是讲所有的测试用例不断的重复的跑,直到没有错误稳定一段时间
RF-Radiation Frequency>>>发射频率,射频电路
RISC-Reduced Instruction Set Computer>>>用于CPU中的精简指令集
ROM-Read Only Memory>>>只读存储器,具有非易失性。
RTL-Register Transformation Level>>>寄存器传输级,多指使用verilog来描述的层次
Shell>>>数字IC设计常用的一种脚本语言,和linux结合紧密
SI -Signal Integrity>>>信号完整性
signoff>>>验收机制,验收标准
SoC-System on Chip>>>片上系统,一般指规模比较大的芯片,大多含有CPU/MCU等
SPEC-specification>>> 说明书,规范,每个岗位工程师都要写相应的spec
SPI-Serial Peripheral Interface>>>串行外设接口,是一种高速的,全双工,同步的通信总线
SRAM-Static Random Access Memory>>>静态随机存取存储器
STA-Static Timing Analysis>>>静态时序分析,数字IC设计流程中的重要环节
SV-systemverilog>>>主流的数字验证语言
Tapout>>>流片,将最终的版图文件送到工艺厂去生产
TCL-Tool Command Language>>>工具命令语言。数字端设计中常用的脚本语言
tessent>>>mentor公司的DFT工具,市场占有率很高
Testbench->>>测试平台,数字验证搭建用来测试的平台
TTL-Transistor-Transistor Logic>>>TTL电平标准,规定+5V等价于逻辑1,0V等价于逻辑0
UART-Universal Asynchronous Receiver/Transmitter>>>通用异步收发传输器,一种常见的IP模块
USB-Universal Serial Bus>>>通用串行总线,一种高速的连接外设的总线协议
UVM-Universal Verification Methodology>>>主流的数字验证方法学,基于systemverilog
VCD-value change dump>>> 一个通用的波形文件格式,信息详细,但文件较大
VCS >>>synopsys公司的数字前端仿真工具
Verdi>>>synopsys公司的数字前端debug工具
VHDL-VHSIC(Very High Speed IC) Hardware Description Language>>>一种硬件描述语言,和verilog类似,现在使用的公司不多了
Vivado-Vivado>>>FPGA厂商赛灵思公司2012年发布的集成设计环境
VLSI-Very-large-scale integrated circuit>>>超大规模集成电路

锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章