锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

VCS常用开关选项解释

时间:2022-10-15 05:00:00 bcs电容开关103sr13a

VCS模拟选项分为编译(compile-time)选项和运行(run-time)选项。

1.1VCS常用的编译选项

表 21VCS常用的编译选项

选项

说明

-assertdumpoff| enable_diag| filter_past

定义SystemVerilog断言(SVA)

dumpoff:禁止将SVA信息DUMP到VPD中

enable_diag:使能SVA运行选项进一步控制结果报告

filter_past:忽略$past中的子序列

-cm

指定:line(行覆盖),cond(条件覆盖),fsm(状态机覆盖),tgl(翻转率覆盖),path(路径覆盖),branch(分支覆盖)和assert(断言覆盖)。

如果包括两种或两种以上的覆盖率类型,可以使用 ”,如-cm line cond fsm tgl

-cm_assert_hier

将SVA文件列表中规定的覆盖率统计限制module层次。

-cm_cond

包括:basic,std,full,allops,event,anywidth,for,tf,sop等选项。

-cm_count

在统计是否覆盖的基础上,进一步统计覆盖次数

-cm_dir

默认是指定覆盖率统计结果的存储路径simv.cm

-cm_hier

在文件中定义了指定覆盖率统计的范围,可以指定module名称、层次名、源文件等。

-cm_log

在指定的模拟过程中记录覆盖率log文件名

-cm_name

文本文件覆盖率结果的文本文件名称

-cm obc

使能可观察(observed)覆盖率的编译。传统的覆盖率与功能的正确性无关。通过设置观察点,代码线的覆盖率可以在一定程度上与功能的正确性相关。

-comp64

在64-bit在模式下编译设计并生成32-bit32格式可执行文件-bit模式仿真

-debug 或-debug_all

使能UCLI命令行

-e

指定PLI应用时main()程序名称

-f

指定文件列表的文件名文件的路径和名称,也可以包括编译选项参数

-file filename

类似于-f,但是文件内容更灵活,可以包含PLI转义字符可用于选项和对象文件

-full64

在64-bit在模式下编译,生成64-bit可执行文件模拟模式

-h or -help

列出常用的编译选项和操作选项的参数

-I

编译交互模式,让VCS自动包括 cli,-P virsims.tab并支持选项VirSim在后处理模式下生成VCD 文件。

-ID

显示及其的hostid或dongle ID

-ignore

屏蔽SV中unique/priority型的if或case语句包括:unique_checks、priority_checks、all。

-j

设置并行编译的过程数,j后面没有空间

-l

指定记录VCS编译和操作信息log文件名

-line

使能VriSim单步运行

-lmc-swift

使能LMC SWIFT接口

-Mdir=

指定目录转让VCS存储编译产生的文件,默认是csrc

-Mlib=

指定目录转让VCS搜索模块是否需要重新编译。

与-Mdir可实现不同模块的增量编译。

-Mmakeprogram=

指定用于make默认对象程序make

-Mupdate[=0]

默认情况下,VCS编译将涵盖上次编译生成的编译makefile。如果你想保留上次的makefile,使用-Mupdate=0;如果不带=0,则进行增量编译,并覆盖上次的makefile。

-noIncrComp

关闭增量编译

-notice

显示详细的诊断信息

-ntb

使能ntb(Native TestBench),支持OpenVera验证平台的语言结构。

-o

默认指定编译生成的可执行文件名称simv

-timescale=/

有些源代码文件包括`timescale如果是编译指令,有些不包括在内VCS不包括命令行`timescale前面是源代码文件,VCS将停止编译-timescale前面没有这些选项`timescale指定源文件timescale

-override_timescale=/

统一使用指定的让源文件timescale

-P

指定PLI表文件

-pvalues =

改变指定参数的值

-parameters

通过文件的方式改变参数的值,参数的路径和改变的值均在文件中定义

-q

安静模式,屏蔽VCS的编译信息

-R

在编译之后立即执行产生的可执行文件

-s

刚开始仿真时即停止,一般与-R和+cli配合使用

-V

使能verbose模式

-v

指定verilog库文件

-y

指定verilog库路径

+libext+

让VCS在verilog库路径下搜索指定的扩展名文件,与-y配合

-vera

指定标准的Vera PLI表文件和对象库

+acc+1|2|3|4

使能PLI中的ACC(PLI 1.0的一种方式)

+cli+[=]1|2|3|4

使能CLI调试功能

+autoprotect[]

生成一个加密的源文件

+protect[]

生成一个加密的源文件,只加密`protect/`endprotect部分

+putprotect+

指定加密文件存放的目录

+csdf+precompile

在VCS编译源代码时预先SDF文件

+define+=

定义一个文本宏,与源文件中的`ifdef配合

+error+

将编译时运行的NTB错误增加到N

+incdir+

指定VCS搜索`include指令使用的包含文件的目录,可以用“+”定义多个目录。

+maxdelays

使用SDF文件中的max值

+mindelays

使用SDF文件中的min值

+typdelays

使用SDF文件中的typ值

+nbaopt

删除非阻塞赋值语句中的延时

+neg_tchk

使能时序检查中的负延时

+nospecify

屏蔽specify块中的路径延时和时序检查

+notimingcheck

屏蔽specify块中的时序检查

+nowarnTFMPC

屏蔽编译时的“Too few module port connections”告警信息

+no_notifier

屏蔽一些时序检查系统任务中定义的notifier寄存器的翻转(toggling),但不影响时序violation的报告

+no_tchk_msg

屏蔽时序检查的告警信息,但不关闭时序检查时notifier寄存器的翻转(toggling)

+optconfigfile+

指定Radiant技术和二态仿真用到的配置文件名

+prof

让VCS在仿真过程中生成一个vcs.prof文件,记录设计中最耗CPU时间的module、层次和verilog结构

+race

让VCS在仿真过程中生成一个race.out文件,记录设计中所有的竞争冒险

+radincr

增量编译时使能Radiant技术

+sdf_nocheck_celltype

SDF反标时不检查SDF文件中的CELLTYPE的一致性

+sdfverbose

显示SDF反标时的详细告警和错误信息

+v2k

支持Verilog-2001标准

+vc[+abstract][+allhdrs][+list]

使用DirectC接口时,使能verilog直接调用C/C++函数

+vcs+flush+log

加速编译仿真时log文件缓存的刷新频率

+vcs+flush+all

+vcs+flush+log,+vcs+flush+dump和+vcs+flush+fopen的集合

+vcs+initmem+0|1|x|z

初始化设计中所有存储器的值

+vcs+initreg+0|1|x|z

初始化设计中所有寄存器的值

+vcs+lic+wait

一直等待license

+vpi

使能VPI

+warn=[no]ID|none|all,...

使能或关闭告警信息

1.2 VCS常用的运行选项

表 22 VCS常用的运行选项

选项

说明

-a

将仿真显示的log信息附件在指定文件尾部

-E

执行指定的程序用来显示生成simv可执行文件时VCS使用的编译选项

-i

指定一个VCS执行仿真时包含CLI命令的文件,一般与-ucli配合

-k | off

指定一个文件,用来记录VCS仿真过程中的CLI和VirSim交互命令,默认是vcs.key,off选项是关闭记录。

-sverilog

支持SystemVerilog

-ucli

使能UCLI命令

-vcd

指定VCD波形文件名,默认是verilog.dump,会被源代码文件中的$dumpfile覆盖

+vcs+learn+pli

追踪当前仿真的ACC选项,记录在pli_learn.tab文件中,下次仿真时可以用+applylearn来重新编译。

+vcs+nostdout

关闭所有$monitor和$display的文本输出,但依然会记录在-l的log文件中。

+vera_load=

定义Vera对象文件

+vera_mload=

定义一个包括多个Vera对象文件的文本文件

1.3 VCS调试模式常用选项

表 23 VCS常用的调试模式选项

选项

说明

-RI

编译完成之后马上启动VirSim,进入交互模式

-RIG

不编译,启动VirSim使用已有的可执行文件,进入交互调试模式。

+sim+

指定使用的可执行文件名,与-RIG配合

-RPP

运行后处理(Post-Processing)模式:启动VirSim,使用VCD+文件

-PP

支持在源代码文件中调用$vcdpluson生成VPD文件

+cfgfile+

定义一个VCS使用的已建好的场景配置文件

+vslogfile[+]

保存一个VirSim命令的log文件,默认是VirSim.log

 转载链接:vcs常用仿真选项_bcs_01的专栏-CSDN博客_vcs编译选项

锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章