锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

【专业扫盲】集成电路领域的核心会议与期刊

时间:2022-07-27 23:00:00 集成电路d358

以下是关于集成电路领域的核心会议和期刊的汇总,我在日常学习中寻找科普和扫盲,科研小白恳请看到这个博客的朋友在评论区补充更多关于会议/期刊的信息,比如几个区域。欢迎补充和纠正。
我也希望有经验的朋友能指出如何从IEEE搜索几个区域。

1.会议

IEEE International Solid-State Circuits Conference,简称:ISSCC,国际固态电路会议
(顶会每年只有200篇左右)

IEEE International Electron Devices Meeting,简称:IEDM,国际电子器件会议

IEEE Symposia on VLSI Technology and Circuits,简称:VLSI,超大规模集成电路研讨会

European Solid-State Circuit Conference,简称:ESSCIRC,欧洲固态电路会议

IEEE Asian Solid-State Circuits Conference,简称:A-SSCC,亚洲固态电路会议

Hot Chips: A Symposium on High Performance Chips,简称:Hot Chips,高性能芯片研讨会

IEEE International Symposium on Circuits and Systems,简称:ISCAS,电路系统研讨会

IEEE/ACM International Symposium on Microarchitecture,简称:MICRO,微架构

International Symposium on Computer Architecture,简称:ISCA,计算机系统架构

International Symposium on High-Performance Computer Architecture,简称:HPCA,高性能计算机架

International Conference on Architectural Support for Programming Languages and OperatingSystems,简称:ASPLOS,编程语言和操作系统的系统结构支持国际会议

Design Automation Conference,简称:DAC,设计自动化会议

IEEE International Symposium on Power Semiconductor Devices and ICs,简称:ISPSD,电力设备、电路研讨会

IEEE Radio Frequency Integrated Circuits Symposium,简称:RFIC,射频集成电路研讨会

IEEE Custom Integrated Circuits Conference,简称:CICC,集成电路会议

IEEE International Conference on Computer-aided Design ,简称:ICCAD,计算机辅助设计国际会议

ACM/IEEE International Symposium on Low Power Electronics and Design,简称:ISLPED,低功耗电子设计研讨会

Design,Automation and Test in Europe Conference and Exhibition,简称:DATE,欧洲设计、自动化、测试会议

2.期刊

IEEE Journal of Solid-State Circuits ,简称:JSSC (顶刊)

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,简称:TCAD

IEEE Transactions on Circuits and Systems I,简称:TCAS-I (一区)

IEEE Transactions on Circuits and Systems II,简称:TCAS-II (一区)

IEEE Transactions on Very Large Scale Integration ,简称:TVLSI

ELECTRONICS LETTERS,简称:EL

3.汇总:

如果电路设计,会议: ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > 各种local CAS (APCCAS,MWSCAS等)

期刊:JSSC > SSC-L ~ TCAS-I ~ TPE ~ TBIOCAS > TCAS-II >TVLSI > EL …

相关文章