锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

如何将vivado与你自己习惯用的编辑器进行链接

时间:2021-11-18 23:28:01

vivado是什么,我信任玩FPGA的都知道了,xilinx宣布的一款高度集成的设想软件,其余的一些描绘我就不讲了,无非用习惯了一些其余的编辑器,应用vivado自带的编辑器,说实话,真的有点不太恬逸,本日就先容下如何将vivado与你本人习习用的编辑器举行链接。

注:应用的版本:Vivado 2018.3

其余版本的界面大概不一样,然则配置的要领同样,以是假如界面分歧的,就自行依据如下的操纵举行比照配置。

~Show Time~

第一步

关上vivado,并肆意关上一个HDL文件,界面以下:


一些经常使用的性能,编辑器里都有,无非代码长了,看着仍是蛮累的,用第三方的编辑器能够让本人轻松些,接着往下看。

第二步

在最右边的导航窗口找到工程治理目录下的Settings,而后双击关上:


关上后,并找到Text Editor,也便是编辑器的设置界面,以下:


这个时间便是默许便是自带的编辑器而后能够在这边对编辑器的一些标准举行设置,字体色彩、字体巨细之类无非这些不是本篇先容的重点以是未几讲了间接点击右上角的Current Editor的框:


这边便是需求应用的编辑器抉择无非认为随意选个就行了吗那末简略间接随意选个你电脑上安装了的编辑器名字而后应用了配置后,再去关上文件普通是要报错的,我猜是vivado没找到该编辑器运转步伐以是如下步调进行吧。

第三步

在选项窗口往下自定义编辑器(Custom Editor以下:


然后再点击右侧的三个点点进入自定义配置便是链接需求应用的编辑器:


进入配置界面后,看到的如下图所示赤色框框便是Editor框框的填写解释应用的是Windows体系以是就选第二个敕令填写,填写标准便是:

盘符装置路径名\XX.exe


然后就间接弹出配置好的编辑器窗口,并打开了方才本人点击的文件:


结语

 

锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章