锐单电子商城 , 一站式电子元器件采购平台!
  • 电话:400-990-0325

8位半闪速架构A/D转换器TLC5510的性能特点和典型应用电路研究

时间:2021-02-25 01:02:03

1.TLC5510简介

TLC5510是美国发展德州电子仪器(TI)公司的8位半闪速架构A/D转换器。采用CMOS工艺,大大可以减少企业比较器数。

TLC5510可提供高达20ms/s的采样率,可广泛应用于高速数据转换、数字电视、医学图像、视频会议、QAM解调器等领域。

1.1 引脚功能描述

TLC5510 在 24 针修补程序包中提供,引脚配置如图 1 所示,每个引脚功能如下:

AGND:模拟信号地;

ANGLOG IN:模拟控制信号进行输入端;

CLK:时钟输入端;

DGND:数字信号地;

D1~D8:数据进行输出端。D1为数据显示低位,D8为数据以及高位;

OE:输出使能端子。当OE为低电平时,数据端子有效,否则为高阻状态;

VDDA:模拟电路运行电压:

Vddd:数字电路的工作电压;

内部基准电压。当内部部分电压输出额定2v 基准电压时,端子短路为重复;

REFT:参考电压(t代表Top 2.6v);

REFB:参考工作电压(B代表Bottom为0.6 V);

REFBS:参考电压。

8位半闪速架构A/D转换器TLC5510的性能特点和典型应用电路研究

1.2 典型应用电路

TLC5510的参考电源具有多种连接方法,根据不同场合选择合适的参考电源,使用内部参考源,TLC5510典型应用电路如图2所示。由于其测量范围为 0.6 V 至 2.6 V(即 TLC5510 对应数字输出 00 000 000 000,模拟输入 2.6 V 0.6 V 转换时间)数字输出 1111 111),因此输入信号在输入 TLC5510 之前要埘其处理,为了使输入信号在范围内,应添加 1.6 V DC 重量。

2基于TLC5510的数据采集系统设计

2.1 两级采样

虽然TLC5510的抽样率很高,但受到严重干扰。基于上述特点,TLC5510用于宽带数字示波器的数据采集。为了提高防干扰能力,专门设计了主动晶体模块,为TLC5510提供采样时钟,但采样率不可调。为了解决这个问题,使用两个阶段的采样。第一阶段的抽样是控制A/D转换器对外围电信号的高速采样,并将其采样保存到FPGA内部登记册,该级别的抽样速率是恒定的,由硬件设计实施,第二阶段的抽样是软件采样,即由FPGA采样控制模块从收银机中提取一级采集结果,该级别的抽样率是可调的。

2.2 等效采样

根据奈奎斯特定律,如果采样频率高于信号频率的两倍,就可以恢复原始波形。当采样频率等于或小于信号频率时,可以采用等效采样,在不同的周期内得到不同相位的幅度,并根据相位连续排列幅度来恢复波形。

采用内触发进行采样,即巾被测信号的某相位点位为触发,然后通过存储。其实现发展过程:每一个学生完整的采样需采集256个点,每一个数据采样点都是由相同工作电平可以触发,触发后启动FPGA内部的计数器,对高频使用脉冲开始记数,脉冲数控制不同,代表一个相位也不相同。经过256个周期,就可根据采集256个不同的相位点。

三。基于FPGA的等效采样

3.1 实现方案

系统不使用延迟方法实现等效采样。如图 3 所示,输入信号 f(t) 的周期为 T(频率为 f),如果 f(t) 的周期 T 等于 t,则第一次采样是在时间 t1 时进行,为了收集下一个阶段点,则 t2 时进行第二次采样,tl 到 t2 可以通过多个信号周期进行分离。假设 m,两个相邻的样本脉冲之间的间隔为 (mT-t)。打个比方,以下三个采样点分别以t3、t4和t5次为样本。延迟 N-t 在每个触发位置 (N-0, 1,2,3...)存储样品后,可以合成完整的波形。此方法易于控制并通过 FPGA 完成整个触发、延迟、取样和存储功能,但触发电路和延迟电路要求很高。

3.2 硬件电路设计

3.2.1整形触发电路

由于每个采样都必须由特定的事件触发,所以系统设计采用内部触发器,即以采样信号为触发源,通过硬件触发电路实现。该电路能将各种波形的周期信号转换成与原信号周期相同的方波信号。

由于采用了等效采样技术,被测信号频率更高。假设采样信号的最高频率约为10 MHz,选择Maxim公司的电压比较器MAX912作为整形装置。当输入信号电压高于预设触发电平时,输出高电平;相反,它输出低电平。图4示出了整形触发电路。

3.2.2 采样可以保持一个电路

每次采样都是一个比触发时间延迟N-t的数据点,但由于采样信号的频率很高,几乎不可能准确地采样到这一点。

取样和保持电路的功能:在采样时间到来之前,模块的输出电压随输入电压而变化。到达采样时,TLC5510 的输出电压保持不变。特定电路显示在图 5 中。

该采样可以保持电路由两片运算放大器A1,A2和模拟一个开关A3构成,采样时通过FPGA控制系统时钟使A3的通道S1导通。A1,A2为单位信息增益的电压技术跟随器,故Uo=Uc=Uo,此时由于电容以及充电至Uc。因电压跟随器的输出电阻变化很小,故电容实现快速发展充电。断开S1,南于UC无放电信号通路,其电压作为基本条件不变,故UO保持稳定不变,即保存数据采样分析结果。

3.3 软件编程控制

等效采样的软件控制实际上是指采样时间的控制和外围采样保持电路定时序列的控制。该控制器可以在 fpga 中编程,相应的实现模块如图6所示,由两部分组成。一种是数字锁相环(pll) ,用于产生足够高频率的脉冲。由于采样速率与△ t 有关,将原 fpga 的40mhz 时钟信号送入数字锁相环(dpll) ,使其达到5倍频率,然后提高到200mhz。二是控制模块,采用同步起动异步复位的编程思想。它有两个时钟输入: clkce2是测量信号经过整形电路产生的脉冲信号,该信号是同步信号,也是触发源。Clk 是一种由数字锁相环产生的高频采样脉冲。计数开始后,内部计数器计算 clk。当计数达到 m (肌肉△ t 时间)时,计数完成,控制采样-保持电路立即进入保持状态,然后经过几个 clk 时钟周期(为了使信号完全稳定到保持状态电平) ,采样数据点(每个数据点代表数据值的一个相位)。如果每个采样周期需要采样256个点,则最大延迟为256△ t = 256/200 = 1.28 s,测量信号为10mhz,周期为0.1 s,因此两个采样点之间的间隔应至少为13个周期。

4 、结束语

传统的实时采样方法可以对频率低于1MHz的信号进行采样,对于频率较高的信号,引入了基于FPGA的等效采样技术,可以使TLC5510对高频信号进行采样,TLC5510得到了广泛的应用。

责任编辑:gt


锐单商城拥有海量元器件数据手册IC替代型号,打造电子元器件IC百科大全!

相关文章